论文发表百科

数字电路的毕业论文

发布时间:2024-07-03 01:24:32

数字电路的毕业论文

电气工程及其自动化本科毕业论文有关数字电子技术的好写。1、电气工程是目前科技领域中的一个核心学科,也是现代高新技术领域中不可或缺的关键学科,包括了几乎所有与电子、光子有关的工程行为,例如计算机网络学习电气工程专业的学生学习的课程主要有电路原理、模拟电子技术、数字电子技术、微机原理等等,学生在毕业之后可以选择从事电气工程及其自动化方面的教学、科研、工程设计、科技开发、管理和经贸等工作。2、标题:根据选题确定标题内容,字数不宜超过20字,电气工程毕业论文的选题可以是电气自动化、电气设备等等,不过有时候导师会给一个大致方向或题目供学生选择。3、摘要,关键字:摘要是对论文内容的简短陈述,是独立与论文的完整短文,字数在200字左右关键字是从论文中摘取的可以显示论文主题的单词,数量在3-5个。4、引言:简单阐述论文的目的和意义。5、正文:对研究内容、研究方法、研究成果等核心内容进行详细介绍。6、结论:对研究进行总结,并简单说明论文的主要内容和尚未解决的问题。

电子技术可以写电路、控制系统、plc等等。开始也不会写,还是寝室哥们给的文方网,帮写的《电力电子技术在电力系统中的应用研究》,很快就通过了现代汽车电子技术的应用现状及发展趋势汽车电子技术的应用与发展趋势浅析电力电子技术与谐波抑制、无功功率补偿技术研究综述电子技术实验教学的思考数字电子技术实验改革与创新电力电子技术应用系统发展热点综述电子技术课程设计内容改革的研究与实践现代电力电子技术的发展及其应用交互式电子技术手册及其应用研究电子技术实验教学改革与创新电力电子技术发展的新动向电子技术实验教学改革与实践电力电子技术的发展及应用探究电力电子技术的发展及应用南方电网大功率电力电子技术的研究和应用电力电子技术课程设计的探索与实践纳米电子器件与纳米电子技术电力电子技术及其应用的最新发展全面实施电子技术实验改革 提高学生创新能力电力电子技术的发展动向浅谈面向21世纪的电力电子技术2010年国际大电网会议系列报道高压直流输电和电力电子技术计算机仿真技术在电力电子技术课堂教学难点中的应用电力电子技术与谐波抑制“数字电子技术实验”课程的改革“模拟电子技术实验”课程的改革我国电力电子技术的现状及应用现代电子技术在汽车上的应用及未来发展趋势极限温度下的电力电子技术电子技术课程建设探索与实践

我是电子专业的毕业论文(设计)昨天才写好,key words:单片机 传感器 嵌入式 GSM Internet 客户端 服务器近三万字 要的QQ

具体什么内容的

数字钟电路设计毕业论文

哎、太麻烦了

详细的单片机数字钟设计,已经发给你了。但是,我给你的没做蜂鸣器~~~~~~~~~~~~~就当是抛砖引玉吧

摘要近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集,军工产品以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。本次设计中以单片机的发展过程和发展方向为背景,介绍了单片机的输入输出的工作原理和操作方法,中断的工作原理和操作方法。4511的工作原理和操作方法,LED的内部结构。电路设计及调试过程。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED数码显示器、BCD-锁存/7段译码/驱动器CC4511等),再配以相应的软件,达到制作简易数字钟的目的,其硬件部分难点在于元器件的选择、布局及焊接。关键词:单片机 AT89C51 共阴极LED数码显示器 BCD-锁存/7段译码/驱动器CC4511 AbstractIn recent years, with computers in the infiltration and the development of large-scale integrated circuits. SCM application is steadily deepening, as it has strong function, small size, low power dissipation, low prices, reliable, easy to use features, it is particularly suited to and control of the system, increasingly widely used in automatic control, intelligent instruments, gauges, data acquisition, military products and household appliances, and other areas, is often microcontroller as a core component to use, In light of specific hardware architecture, and application-specific software features object combine to make design of a microcontroller development process and the direction of development as the background, the computer's input and output of the working principle and method of operation interrupted the principle and method of operation. 4511, the principle and method of operation, LED internal structure. Circuit design and debugging process. The figures do bell on SCM (AT89C51) at the core, Combined with the components (a total of cathode LED digital display, BCD - latch /7 of decoding / actuator CC4511), and factoring in the corresponding software, Easy to produce digital clock purposes, as part of the hardware components is a difficult choice, layout and : Single Chip Microcomputer AT89C51 Total cathode LED figures display BCD-the lock save/7 the segments translate code/actuator CC4511目录摘要 - 1 -目录引言 - 3 -引言 - 4 -第一章 题目 - 5 、课程设计目的 - 5 -第二章 单片机发展历史 - 5 三大阶段 - 5 如果将8位单片机的推出作为起点 - 6 单片机的发展趋势 - 7 -第三章 单片机的组成及特点 - 9 单片机的组成 - 9 单片机的特点 - 9 单片机的分类 - 10 -第四章 单片机的应用 - 10 单片机的应用分类 - 10 -第五章 数字种的构成 - 12 数字钟的构成 - 12 实验中所需的器材 - 13 方案选择与相关技术 - 13 AT89C51的单片机简介 - 13 主要特性 - 15 管脚说明 - 16 振荡器特性 - 20 单片机的中断 - 22 CC4511 集成简介 - 25 4511集成分析 - 25 4511的逻辑图 - 26 LED数码显示器简介 - 26 LED数码显示器的结构 - 27 LED数码显示器有两种连接方法 - 27 -第六章 电路设计 - 27 电路接法 - 27 晶体振荡器与AT89C51的接法 - 27 单片机复位电路的连接 - 28 单片机AT89C51银脚的连接 - 28 译码器CC4511的银脚连接 - 29 CC4511与共阴极LED的连接方式 - 29 数字钟电路图 - 29 -第七章 调试过程: - 31 -总结 - 31 -附录 - 31 -参考文献 - 32 -致谢 - 32

是因为很难。

毕业论文多功能数字钟电路设计

数字电子钟的设计 一、 绪论 (一)引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 (二)论文的研究内容和结构安排 本系统采用石英晶体振荡器、分频器、计数器、显示器和校时电路组成。由LED数码管来显示译码器所输出的信号。采用了74LS系列中小规模集成芯片。使用了RS触发器的校时电路。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。论文安排如下: 1、绪论 阐述研究电子钟所具有的现实意义。 2、设计内容及设计方案 论述电子钟的具体设计方案及设计要求。 3、单元电路设计、原理及器件选择 说明电子钟的设计原理以及器件的选择,主要从石英晶体振荡器、分频器、计数器、显示器和校时电路五个方面进行说明。 4、绘制整机原理图 该系统的设计、安装、调试工作全部完成。 二、设计内容及设计方案 (一)设计内容要求 1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能的电子钟。 2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试。 3、画出框图和逻辑电路图。 4 、功能扩展: (1)闹钟系统 (2)整点报时。在59分51秒、53秒、55秒、57秒输出750Hz音频信号,在59分59秒时,输出1000Hz信号,音像持续1秒,在1000Hz音像结束时刻为整点。 (3)日历系统。 (二)设计方案及工作原理 数字电子钟的逻辑框图如图1所示。它由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示。计时出现误差时,可以用校时电路校时、校分。 图1 数字电子钟逻辑框图 三、单元电路设计、原理及器件选择 (一)石英晶体振荡器 1、重要概念的解释 (1) 反馈:将放大电路输出量的一部分或全部,通过一定的方式送回放大电路的输入端。 (2) 耦合:是指信号由第一级向第二级传递的过程。 2、石英晶体振荡器的具体工作原理 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它被广泛应用于彩电、计算机、遥控器等各类振荡电路中。它还具有压电效应:在晶体某一方向加一电场,晶体就会产生机械变形;反之,若在晶片的两侧施加机械压力,则在晶片相应的方向上将产生电场,这种物理现象称为压电效应。在这里,我们在晶体某一方向加一电场,从而在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而使机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限制时,才达到最后稳定,这种压电谐振的频率即为晶体振荡器的固有频率。 用反相器与石英晶体构成的振荡电路如图2所示。利用两个非门G1和G2 自我反馈,使它们工作在线性状态,然后利用石英晶体JU来控制振荡频率,同时用电容C1来作为两个非门之间的耦合,两个非门输入和输出之间并接的电阻R1和R2作为负反馈元件用,由于反馈电阻很小,可以近似认为非门的输出输入压降相等。电容C2是为了防止寄生振荡。例如:电路中的石英晶体振荡频率是4MHz时,则电路的输出频率为4MHz。 图2 石英晶体振荡电路 (二)分频器 1、8421码制,5421码制 用四位二进制码的十六种组合作为代码,取其中十种组合来表示0-9这十个数字符号。通常,把用四位二进制数码来表示一位十进制数称为二-十进制编码,也叫做BCD码,见表1。 表1 8421码 5421码 0 0000 0000 1 0001 0001 2 0010 0010 3 0011 0011 4 0100 0100 5 0101 1000 6 0110 1001 7 0111 1010 8 1000 1011 9 1001 1100 2、分频器的具体工作原理 由于石英晶体振荡器产生的频率很高,要得到秒脉冲,需要用分频电路。例如,振荡器输出4MHz信号,通过D触发器(74LS74)进行4分频变成1MHz,然后送到10分频计数器(74LS90,该计数器可以用8421码制,也可以用5421码制),经过6次10分频而获得1Hz方波信号作为秒脉冲信号。(见图3) 图3 分频电路 3、图中标志的含义 CP——输入的脉冲信号 C0——进位信号 Q——输出的脉冲信号 (三)计数器 秒脉冲信号经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位以及“时”个位、十位的计时。“秒”、“分”计数器为60进制,小时为24进制。 1、60进制计数器 (1) 计数器按触发方式分类 计数器是一种累计时钟脉冲数的逻辑部件。计数器不仅用于时钟脉冲计数,还用于定时、分频、产生节拍脉冲以及数字运算等。计数器是应用最广泛的逻辑部件之一。按触发方式,把计数器分成同步计数器和异步计数器两种。对于同步计数器,输入时钟脉冲时触发器的翻转是同时进行的,而异步计数器中的触发器的翻转则不是同时。 (2)60进制计数器的工作原理 “秒”计数器电路与“分”计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成,如图4所示,采用两片中规模集成电路74LS90串接起来构成的“秒”、“分”计数器。 图4 60进制计数电路 IC1是十进制计数器,QD1作为十进制的进位信号,74LS90计数器是十进制异步计数器,用反馈归零方法实现十进制计数,IC2和与非门组成六进制计数。74LS90是在CP信号的下降沿翻转计数,Q A1和 Q C2相与0101的下降沿,作为“分”(“时”)计数器的输入信号,通过与非门和非门对下一级计数器送出一个高电平1(在此之前输出的一直是低电平0)。Q B2 和Q C2计数到0110,产生的高电平1分别送到计数器的清零R0(1), R0(2),74LS90内部的R0(1)和R0(2)与非后清零而使计数器归零,此时传给下一级计数器的输入信号又变为低电平0,从而给下一级计数器提供了一个下降沿,使下一级计数器翻转计数,在这里IC2完成了六进制计数。由此可见IC1和 IC2串联实现了六十进制计数。 其中:74LS90——可二/五分频十进制计数器 74LS04——非门 74LS00——二输入与非门 2、24进制计数器 小时计数电路是由IC5和IC6组成的24进制计数电路,如图5所示。 当“时”个位IC5计数输入端CP5来到第10个触发信号时,IC5计数器自动清零,进位端QD5向IC6“时”十位计数器输出进位信号,当第24个“时”(来自“分”计数器输出的进位信号)脉冲到达时,IC5计数器的状态为“0100”,IC6计数器的状态为“0010”,此时“时”个位计数器的QC5和“时”十位计数器的QB6输出为“1”。把它们分别送到IC5和IC6计数器的清零端R0(1)和R0(2),通过7490内部的R0(1)和R0(2)与非后清零,从而完成24进制计数。 图5 24进制计数电路 (四) 译码与显示电路 1、显示器原理(数码管) 数码管是数码显示器的俗称。常用的数码显示器有半导体数码管,荧光数码管,辉光数码管和液晶显示器等。 本设计所选用的是半导体数码管,是用发光二极管(简称LED)组成的字形来显示数字,七个条形发光二极管排列成七段组合字形,便构成了半导体数码管。半导体数码管有共阳极和共阴极两种类型。共阳极数码管的七个发光二极管的阳极接在一起,而七个阴极则是独立的。共阴极数码管与共阳极数码管相反,七个发光二极管的阴极接在一起,而阳极是独立的。 当共阳极数码管的某一阴极接低电平时,相应的二极管发光,可根据字形使某几段二极管发光,所以共阳极数码管需要输出低电平有效的译码器去驱动。共阴极数码管则需输出高电平有效的译码器去驱动。 2、译码器原理(74LS47) 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表2列出了74LS47的真值表,表示出了它与数码管之间的关系。 表2 输 入 输 出 显示数字符号 LT(——) RBI(——-) A3 A2 A1 A0 BI(—)/RBO(———) a(—) b(—) c(—) d(—) e(—) f(—) g(—) 1 1 0 0 0 0 1 0 0 0 0 0 0 1 0 1 X 0 0 0 1 1 1 0 0 1 1 1 1 1 1 X 0 0 1 0 1 0 0 1 0 0 1 0 2 1 X 0 0 1 1 1 0 0 0 0 1 1 0 3 1 X 0 1 0 0 1 1 0 0 1 1 0 0 4 1 X 0 1 0 1 1 0 1 0 0 1 0 0 5 1 X 0 1 1 0 1 1 1 0 0 0 0 0 6 1 X 0 1 1 1 1 0 0 0 1 1 1 1 7 1 X 1 0 0 0 1 0 0 0 0 0 0 0 8 1 X 1 0 0 1 1 0 0 0 1 1 0 0 9 X X X X X X 0 1 1 1 1 1 1 1 熄灭 1 0 0 0 0 0 0 1 1 1 1 1 1 1 熄灭 0 X X X X X 1 0 0 0 0 0 0 0 8 (1)LT(——):试灯输入,是为了检查数码管各段是否能正常发光而设置的。当LT(——)=0时,无论输入A3 ,A2 ,A1 ,A0为何种状态,译码器输出均为低电平,若驱动的数码管正常,是显示8。 (2)BI(—):灭灯输入,是为控制多位数码显示的灭灯所设置的。BI(—)=0时。不论LT(——)和输入A3 ,A2 ,A1,A0为何种状态,译码器输出均为高电平,使共阳极数码管熄灭。 (3)RBI(——-):灭零输入,它是为使不希望显示的0熄灭而设定的。当对每一位A3= A2 =A1 =A0=0时,本应显示0,但是在RBI(——-)=0作用下,使译码器输出全为高电平。其结果和加入灭灯信号的结果一样,将0熄灭。 (4)RBO(———):灭零输出,它和灭灯输入BI(—)共用一端,两者配合使用,可以实现多位数码显示的灭零控制。 3、译码器与显示器的配套使用 译码是把给定的代码进行翻译,本设计即是将时、分、秒计数器输出的四位二进制数代码翻译为相应的十进制数,并通过显示器显示,通常显示器与译码器是配套使用的。我们选用的七段译码驱动器(74LS47)和数码管(LED)是共阳极接法(需要输出低电平有效的译码器驱动)。译码显示电路如图6所示。 图6 译码显示电路 (五)校时电路 1、RS触发器(见图7) 图7 基本RS触发器 R(—) S(—) Q Q(—) 说 明 0 1 1 0 1 1 0 0 0 1 0或1 1 1 0 1或0 1 置0 置1 保持原来状态 不正常状态,0信号消失后,触发器状态不定 2、无震颤开关电路 无震颤开关电路的原理:(见图8)当开关K的刀扳向1点时,S(—)=0,R(—)=1,触发器置1。S(—)端由于开关K的震颤而断续接地几次时,也没有什么影响,触发器置1后将保持1状态不变。因为K震颤只是使S(—)端离开地,而不至于使R(—)端接地,触发器可靠置1。 当开关K从S(—)端扳向R(—)端时,有同样的效果,触发器可靠置0。从Q端或Q(—)端反映开关的动作,输出电平是稳定的。 3、校时电路的实现原理 当电子钟接通电源或者计时发现误差时,均需要校正时间。校时电路分别实现对时、分的校准,由于4个机械开关具有震颤现象,因此用RS触发器作为去抖动电路。采用RS基本触发器及单刀双掷开关,闸刀常闭于2点,每搬动一次产生一个计数脉冲,实现校时功能,电路如图8所示。 图8 校时电路 (六)调 试 毕满清等.电子技术实验与课程设计.北京:机械工业出 版社, 这本书上很全

根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计。1. 秒脉冲发生器脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲。如晶振为32768 Hz,通过15次二分频后可获得1Hz的脉冲输出.2. 计数译码显示秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。周为七进制数,按人们一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表所示。按表状态表不难设计出“日”计数器的电路(日用数字8代替)。所有计数器的译码显示均采用BCD—七段译码器,显示器采用共阴或共阳的显示器。Q4 Q3 Q2 Q1 显示 1 0 0 0 日 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0 1 0 1 5 0 1 1 0 6表 状态表3. 校时电路在刚刚开机接通电源时,由于日、时、分、秒为任意值,所以,需要进行调整。置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入。4. 整点报时电路当时计数器在每次计到整点前六秒时,需要报时,这可用译码电路来解决。即当分为59时,则秒在计数计到54时,输出一延时高电平去打开低音与门,使报时声按500Hz频率呜叫5声,直至秒计数器计到58时,结束这高电平脉冲;当秒计数到59时,则去驱动高音1KHz频率输出而鸣叫1声。五、参考电路数字电子钟逻辑电路参考图如图所示。参考电路简要说明1. 秒脉冲电路由晶振32768Hz经14分频器分频为2Hz,再经一次分频,即得1Hz标准秒脉冲,供时钟计数器用。2. 单次脉冲、连续脉冲这主要是供手动校时用。若开关K1打在单次端,要调整日、时、分、秒即可按单次脉冲进行校正。如K1在单次,K2在手动,则此时按动单次脉冲键,使周计数器从星期1到星期日计数。若开关K1处于连续端,则校正时,不需要按动单次脉冲,即可进行校正。单次、连续脉冲均由门电路构成。3. 秒、分、时、日计数器这一部分电路均使用中规模集成电路74LS161实现秒、分、时的计数,其中秒、分为六十进制,时为二十四进制。从图3中可以发现秒、分两组计数器完全相同。当计数到59时,再来一个脉冲变成00,然后再重新开始计数。图中利用“异步清零”反馈到/CR端,而实现个位十进制,十位六进制的功能。时计数器为二十四进制,当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,应该回到“零”。所以,这里必须使个位既能完成十进制计数,又能在高低位满足“23”这一数字后,时计数器清零,图中采用了十位的“2”和个位的“4”相与非后再清零。对于日计数器电路,它是由四个D触发器组成的(也可以用JK触发器),其逻辑功能满足了表1,即当计数器计到6后,再来一个脉冲,用7的瞬态将Q4、Q3、Q2、Q1置数,即为“1000”,从而显示“日”(8)。4.译码、显示译码、显示很简单,采用共阴极LED数码管LC5011-11和译码器74LS248,当然也可用共阳数码管和译码器。1. 整点报时当计数到整点的前6秒钟,此时应该准备报时。图3中,当分计到59分时,将分触发器QH置1,而等到秒计数到54秒时,将秒触发器QL置1,然后通过QL与QH相与后再和1s标准秒信号相与而去控制低音喇叭呜叫,直至59秒时,产生一个复位信号,使QL清0,停止低音呜叫,同时59秒信号的反相又和QH相与后去控制高音喇叭呜叫。当计到分、秒从59:59—00:00时,呜叫结束,完成整点报时。2. 呜叫电路呜叫电路由高、低两种频率通过或门去驱动一个三极管,带动喇叭呜叫。1KHz和500Hz从晶振分频器近似获得。如图中CD4060分频器的输出端Q5和Q6。Q5输出频率为1024Hz,Q6输出频率为512Hz。

摘要:本文针对数字电子钟的设计要求,提出了一种基于EWB仿真软件设计数字电子钟的方法。系统由石英晶体振荡器,分频器,计数电路,译码显示电路,校时电路,整点报时电路组成,最终在EWB仿真下基本通过。关键词:EWB,数字电子钟,74160,分频器,计数器,晶体振荡电路一、课题名称:多功能数字电子钟二、设计任务及要求:1、有“时”、“ 分”、“ 秒”(23小时59分59秒)显示且有校时功能。(设计秒脉冲发生器)2、有整点报时功能。(选:上下午、日期、闹钟等)3、用中规模、小规模集成电路及模拟器件实现。4、供电方式:AC220V 50HZ。(设计5V直流稳压电源)三、 工作原理 数字电子钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整。

能分享一下吗?

数电数字时钟毕业论文

你好!

LM8560是典型的数字钟电路,除自身没有60hz振荡器之外,功能很完善。

可以直接与TMS3450互相直接替换,LM8360是曾经是我国专业国营工厂制作数字钟和定时收音机等的应用电路。具有性能稳定,走时功能、定时功能和睡眠功能。能够使用50或60HZ频率作为数字钟的基准频率。

下面提供的是可以交流供电、上面使用直流供电的应用电路。作为直流供电的关键是需要一个高精度的基准频率,这里用32768HZ廉价晶体,与CD4060数字电路组成了可以提供60HZ基准频率的电路。需要注意的是:该集成电路的专用显示屏幕属于双阴极供电的类型,比较特殊而且无法与其他电路的屏幕通用,因此,需要保证它们的驱动波形是非常对称的。这里使用了CD4013电路进行倒相,由2只C9013轮流向屏幕的两个阴极供电。  交流供电时,如果遇上交流停电,就需要进行“掉电保护”,由干电池保持时钟继续走时,同时,为了节约电池的电能,由一只三极管C9013自动把显示屏幕的供电切断,实现了交流掉电时自动消隐。

功能特点:

LM8560集成电路内含显示译码驱动电路,12/24小时选择电路及以其他各种设置警示电路。

它具有较宽的工作电压范围(7.5-14V)和工作温度范围(20C-+70C)。自身功耗很小,输出能直接驱动发光二极管或者LED数码显示屏。

这个是LM8560交流 正电源供电的电路图:

我们刚刚做完的课程设计..给你啦~~数字钟设计报告设计者: 2006207320062046目录1 设计目的 32 设计要求指标 基本功能 扩展功能 43.方案论证与比较 44 总体框图设计 45 电路原理分析 数字钟的构成 分频器电路 时间计数器电路 分频器电路 振荡器电路 数字时钟的计数显示电路 校时电路 整点报时电路 86系统仿真与调试 87.结论 8参考文献 9实验作品附图 10数字钟摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到定时自动报警、按时自动打铃、定时广播、定时启闭路灯等。因此,研究数字钟及扩大其应用,有着非常现实的意义。1 设计目的1.掌握数字钟的设计、组装与调试方法。2.熟悉集成元器件的选择和集成电路芯片的逻辑功能及使用方法。3.掌握面包板结构及其接线方法4.熟悉仿真软件的使用。2 设计要求及指标2.1基本功能1)时钟显示功能,能够正确显示“时”、“分”、“秒”。2)具有快速校准时、分、秒的功能。3)用555定时器与RC组成的多谐振荡器产生一个标准频率(1Hz)的方波脉冲信号。2.2扩展功能1)用晶体振荡器产生一个标准频率(1Hz)的脉冲信号。2)具有整点报时的功能。3)具有闹钟的功能。4)……3、方案论证与比较本设计方案使用555多谐振荡器来产生1HZ的信号。通过改变相应的电阻电容值可使频率微调,不必使用分频器来对高频信号进行分频使电路繁复。虽然此振荡器没有石英晶体稳定度和精确性高,由于设计方便,操作简单,成为了设计时的首选,但是由于与实验中使用的555芯片产生的脉冲相比较,利用晶振产生的脉冲信号更加的稳定,同过电压表的测量能很好的观察到这一点,同时在显示上能够更加接进预定的值,受外界环境的干扰较少,一定程度上优于使用555芯片产生信号方式。我们组依然同时设计了555和晶振两个信号产生电路。(本实验报告中着重按照原方案设计的555电路进行说明)4、 系统设计框图数字式计时器一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。在本设计中555振荡器及其相应外部电路组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以‘时’、‘分’、‘秒’的数字显示出来。‘时’显示由二十四进制计数器、译码器、显示器构成,‘分’、‘秒’显示分别由六十进制计数器、译码器、显示器构成。其原理框图如图所示。5、电路原理分析数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.在此使用555振荡器组成1Hz的信号。数字钟原理框图()5.振荡器电路555定时器组成的振荡器电路给数字钟提供一个频率为1Hz的方波信号。其中OUT为输出。时间计数器电路时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器.分频器电路通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768( ),即实现该分频功能的计数器相当于15级2进制计数器。振荡器电路利用555定时器组成的多谐振荡器接通电源后,电容C1被充电,当电压上升到一定数值时里面集成的三极管导通,然后通过电阻和三极管放电,不断的充放电从而产生一定周期的脉冲,通过改变电路上器件的值可以微调脉冲周期。数字时钟的计数显示控制在设计中,我们使用的是74**160十进制计数器,来实现计数的功能,实验中主要用到了160的置数清零功能(特点:消耗一个时钟脉冲),清零功能(特点:不耗时钟脉冲),在上级160控制下级160时候通过组合电路(主要利用与非门)实现,在连接电路的时候要注意并且强调使能端的连接,其将影响到整一个电路的是否工作。电路的控制原理如下:秒钟由个位向十位进位:0000—0001—0010—0011—0100—0101—0110—0111—1000—1001实现个位的计数,采用的是置数的方式(利用RCO端口),当电路计数到1001的时候采用一个二输入与非门接上级输入的高位和低位输出作为下级的信号,实现了秒区的个位和十位的显示与控制。设计中注意到接的是一个与非门而不是与门,目标在产生一个时钟脉冲。实现正确的显示。由秒区向分区的显示控制:基本原理同上,在秒区十位向时区个位显示的时:0000—0001—0010—0011—0100—0101产生了六个脉冲的时候向下级输出一个时钟脉冲,利用的还是与非门,目标仍是实现正确的计时显示。分区的显示及整体电路反馈清零:当数值显示达到:23:59的时候要实现清零的工作,采用CLR清零的方式反馈清零。具体设计接出控制端的9,5,3,2用十六进制表示后高电平对应引脚接与非,将非门输出信号的值反馈给各个160芯片的清零端(CLR)既可以实现清零了。 校时功能的实现当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.根据要求,数字钟应具有分校正功能,因此,应截断分个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.在实验实现过程中使用的是通过开关(普通开关)来实现高低电平的切换,手动赋予需要的高低电平来实现脉冲的供给,将脉冲提供到所需要的输入(CLK)端口,实现校时,仿真过程中能够正常校时并且在校时的时候达到了预定的效果;而在我们进入实际电路连接的时候,利用开关(手控导线点触实现)来实现校时再不像仿真那样的精确了,原因分析是由于使用的是普通的开关同时利用的是手动的对CLK端口赋予脉冲信号,在实现手动生成脉冲信号的过程中产生了扰动,即相当于产生了多个的脉冲信号对需要的数码管进行校时,如此,并没有达到仿真的精确效果,但是在实验中通过改进电路的校时方式,不是用手触开关产生脉冲信号(如若需用手触则需要使用一个锁存器实现去抖动,才能够在脉冲生成时候不产生干扰的脉冲,实现正常的校时),而是使用信号发生器实现信号的提供,对需要校时的数码管在相对应的CLK端口提供脉冲信号实现校时,利用此方式实现校时则比手触开关方式效果要好。 报时的实现报时功能的实现原理较为简单,即对所需要报时的输出量进行控制,并对控制产生的信号作为LED显示的信号源,电路连接中要注意到的是在实现LED显示的时候最好连接上一个保护电阻对LED灯器到保护的作用。例如我们的校时时间是 23:59,0010—0011—0101—1001;利用相应的门电路实现满足端口输出是上述条件的时候进行报时即可。6、系统仿真与调试7、结论学贵以致用,通过几天的数字钟设计过程,将从书本上学到的知识应用于实践,学会了初步的电子电路仿真设计,虽然过程中遇到了一些困难,但是在解决这些问题的过程无疑也是对自己自身专业素质的一种提高。当最终调试成功的时候也是对自己的一种肯定。在当前金融危机大的社会背景下,能够增加自身砝码的不仅仅是一纸文凭证书,更为重要的是毕业生是否能够适应社会大潮流的需要,契合企业的要求即又较硬的动手操作及设计能力。此次的设计作业不仅增强了自己在专业设计方面的信心,鼓舞了自己,更是一次兴趣的培养,为自己以后的学习方向的明确了重点。另外在这次实验中我们遇到了不少的问题针对不同的问题我们采取不同的解决方法,最终一一解决设计中遇到的问题。还有在实验设计中我们曾遇到多块芯片以及数码管损坏的情况造成了数字钟的显示没有达到预期的效果,或是根本不显示,通过错误排除最终确认是元件问题,并向老师咨询跟换元件最终的到解决。在我们曾经遇到不懂的问题时,利用网上的资源,搜索查找得到需要的信息。参考文献:李庆武 《电子技术基础实验 数字电子技术及其EDA》 机械工业出版社 2006年7月P32及P78康华光 《电子技术基础 数字部分》(第五版) 高等教育出版社 2007年11月 P421范爱平.Multisim 2001在电力电子仿真技术中的应用[J].实验室研究与探索CSDN软件网下载文件

用51设计多好呢?为什么要用这么多元件啊?

数字电子技术课程设计报告 题 目: 数字钟的设计与制作 学 年 学 期: 专 业 班 级: 学 号: 姓 名: 指导教师及职称:讲师 时 间: 地点: 设计目的 熟悉集成电路的引脚安排. 掌握各芯片的逻辑功能及使用方法. 了解面包板结构及其接线方法. 了解数字钟的组成及工作原理. 熟悉数字钟的设计与制作. 设计要求 1.设计指标 时间以24小时为一个周期; 显示时,分,秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. 2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出. 3.制作要求 自行装配和调试,并能发现问题和解决问题. 4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会. 设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图 3-1所示为数字钟的一般构成框图. 图3-1 数字钟的组成框图 ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路. ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器. ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器. ⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流. ⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管. 2.数字钟的工作原理 1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定. 图3-2所示电路通过CMOS非门构成的输出为方波的数字式晶体振荡电路,这个电路中,CMOS非门U1与晶体,电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波.输出反馈电 阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器.电容C1,C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能.由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确. 晶体XTAL的频率选为32768HZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数. 从有关手册中,可查得C1,C2均为30pF.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施. 由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ.较高的反馈电阻有利于提高振荡频率的稳定性. 非门电路可选74HC00. 图3-2 COMS晶体振荡器 2)分频器电路 通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频. 通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等. 本实验中采用CD4060来构成分频电路.CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便. CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ,其内部框图如图3-3所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能. 图3-3 CD4046内部框图 3)时间计数单元 时间计数单元有时计数,分计数和秒计数等几个部分. 时计数单元一般为12进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码. 一般采用10进制计数器74HC390来实现时间计数单元的计数功能.为减少器件使用数量,可选74HC390,其内部逻辑框图如图 所示.该器件为双2—5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效). 图3-4 74HC390(1/2)内部逻辑框图 秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可.CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连. 秒十位计数单元为6进制计数器,需要进制转换.将10进制计数器转换为6进制计数器的电路连接方法如图3-5所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连. 图3-5 10进制——6进制计数器转换电路 分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连. 时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换.利用1片74HC390实现12进制计数功能的电路如图3-6所示. 另外,图3-6所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用. 图3-6 12进制计数器电路 4)译码驱动及显示单元 计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路. 5)校时电源电路 当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可. 根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.图3-7所示即为带有基本RS触发器的校时电路, 图3-7 带有消抖动电路的校正电路 6)整点报时电路 一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示. 根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号.报时电路选74HC30,选蜂鸣器为电声器件. 元器件 1.实验中所需的器材 5V电源. 面包板1块. 示波器. 万用表. 镊子1把. 剪刀1把. 网络线2米/人. 共阴八段数码管6个. CD4511集成块6块. CD4060集成块1块. 74HC390集成块3块. 74HC51集成块1块. 74HC00集成块5块. 74HC30集成块1块. 10MΩ电阻5个. 500Ω电阻14个. 30p电容2个. 时钟晶体1个. 蜂鸣器. 2.芯片内部结构图及引脚图 图4-1 7400 四2输入与非门 图4-2 CD4511BCD七段译码/驱动器 图4-3 CD4060BD 图4-4 74HC390D 图4-5 74HC51D 图4-6 74HC30 3.面包板内部结构图 面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X,Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通. 个功能块电路图 一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1. 图5-1 4511驱动电路 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示,见附图5-2. 图5-2 74390十进制计数器 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00和一个晶振连接成一个六进制计数器,数码管从0—6显示,见附图5-3. 图5-3 74390六进制计数器 利用一个六进制电路和一个十进制连接成一个六十进制电路,电路可从0—59显示,见附图5-4. 图5-4 六十进制电路 利用两个六十进制的电路合成一个双六十进制电路,两个六十进制之间有进位,见附图5-5. 图5-5 双六十进制电路 利用CD4060,电阻及晶振连接成一个分频——晶振电路,见附图5-6. 图5-6 分频—晶振电路 利用74HC51D和74HC00及电阻连接成一个校时电路,见附图5-7. 图5-7 校时电路 利用74HC30和蜂鸣器连接成整点报时电路.见附图5-8. 图5-8 整点报时电路 利用两个六十进制和一个十二进制连接成一个时,分,秒都会进位的电路总图,见附图5-9. 图5-9 时,分,秒的进位连接图 总接线元件布局简图,见附图6-1 芯片连接图见附图7-1 八,总结 设计过程中遇到的问题及其解决方法. 在检测面包板状况的过程中,出现本该相通的地方却未通的状况,后经检验发现是由于万用表笔尖未与面包板内部垂直接触所至. 在检测CD4511驱动电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失.用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,再用万用表欧姆档检测每一根线是否接触良好,在检测过程中发现有几根线有时能接通,有时不能接通,把接触不好的线重新接过后发现能正常显示了.其次是由于芯片接触不良的问题,用万用表欧姆档检测有几个引脚本该相通的地方却未通,而检测的导线状况良好,其解决方法为把CD4511的芯片拔出,根据面包板孔的的状况重新调整其引脚,使其正对于孔,再用力均匀地将芯片插入面包板中,此后发现能正常显示,本次实验中还发现一块坏的LED数码管和两块坏的CD4511,经更换后均能正常显示. 在连接晶振的过程中,晶振无法起振.在排除线与芯片的接触不良问题后重新对照电路图,发现是由于12脚未接地所至. 在连接六进制的过程中,发现电路只能4,5的跳动,后经发现是由于接到与非门的引脚接错一根所至,经纠正后能正常显示. 在连接校正电路的过程中,出现时和分都能正常校正时,但秒却受到影响,特别时一较分钟的时候秒乱跳,而不校时的时候,秒从40跳到59,然后又跳回40,分和秒之间无进位,电路在时,分,秒进位过程中能正常显示,故可排除芯片和连线的接触不良的问题.经检查,校正电路的连线没有错误,后用万用表的直流电压档带电检测秒十位的QA,QB,QC和QD脚,发现QA脚时有电压时而无电压,再检测秒到分和分到时的进位端,发现是由于秒到分的进位未拔掉所至. 在制作报时电路的过程中,发现蜂鸣器在57分59秒的时候就开始报时,后经检测电路发现是由于把74HC30芯片当16引脚的芯片来接,以至接线都错位,重新接线后能正常报时. 连接分频电路时,把时个位的QD和时十位的1脚断开,然后时十位的1脚接到晶振的3脚,时十位的3脚接到秒个位的1脚,所连接的电路图无法正常工作,时十位从0-9的跳,时个位只能显示一个0,在这个电路中3脚的分频用到两次,故无法正常显示,因此要把12进制接到74HC390的一个逻辑电路空出来用于分频即可,因此把时十位的CD4511的12,6脚接地,7脚改为接74HC390的5脚,74HC390的3,4脚断开,然后4脚接9脚即可,其中空出的74HC390的3脚就可用于2Hz的分频,分频后变为1Hz,整个电路也到此为正常的数字钟计数. 2.设计体会 在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法. 在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了. 在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,往往没有接高电平的16脚或14脚以及接低电平的7脚或8脚,因此在实际的电路连接中往往容易遗漏.又例如74HC390芯片,其本身就是一个十进制计数器,在仿真电路中必须连接反馈线才能正常显示,而在实际电路中无需再连接,因此仿真图和电路连接图还是有一定区别的. 在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的错误所引起的. 3.对该设计的建议 此次的数字钟设计重在于仿真和接线,虽然能把电路图接出来,并能正常显示,但对于电路本身的原理并不是十分熟悉.总的来说,通过这次的设计实验更进一步地增强了实验的动手能力.

西电毕业论文字数

都不准确,正确的是5000到10000字,如果想优秀的话,就在10000左右,如果只是想毕业,6000多就差不多够用了

只计算正文部分,不包含摘要、前言、致谢。

表达自己的学术成果 要求 有引言正文参考资料等,字数 一般1000以上。论文的主体要求:大学毕业生的文本数量一般应超过5000字,本科文学学士学位通常需要8000多个单词,硕士论文可能要求超过30,000个单词(不同的机构)可能需要不同)。

1、题目。应能概括整个论文最重要的内容,言简意赅,引人注目,一般不宜超过20个字。

2、论文摘要和关键词。论文摘要应阐述学位论文的主要观点。说明本论文的目的、研究方法、成果和结论。尽可能保留原论文的基本信息,突出论文的创造性成果和新见解。而不应是各章节标题的简单罗列。摘要以300字左右为宜。关键词是能反映论文主旨最关键的词句,一般3-5个。

3、目录。既是论文的提纲,也是论文组成部分的小标题,应标注相应页码。

4、引言(或序言)。内容应包括本研究领域的国内外现状,本论文所要解决的问题及这项研究工作在经济建设、科技进步和社会发展等方面的理论意义与实用价值。

5、正文。是毕业论文的主体。

6、结论。论文结论要求明确、精炼、完整,应阐明自己的创造性成果或新见解,以及在本领域的意义。

7、参考文献和注释。按论文中所引用文献或注释编号的顺序列在论文正文之后,参考文献之前。图表或数据必须注明来源和出处。

参考资料来源:百度百科-论文

参考资料来源:百度百科-毕业论文

一般5000字左右,根据情况、专业、要求等不同也有不同的要求!一般研究累的论文5000以下,实验类3000左右,专业要求高的或者文史类5000以上!

10千字左右,8千字至12千字为宜,多了难以把控,少了说不清问题。

相关百科
热门百科
首页
发表服务